2017年1月31日火曜日

一理ある、京極夏彦に似ているという説

先日、「ひらさかさんは京極夏彦ですか?」と言われた.

名前は知っているが、小説家だとは知っているが、顔は知らない.

調べたら、あぁこの人ですか、髪型が似た感じの写真をコピペしとく.一理あるのう.
かしこ

2017年1月30日月曜日

今日の現場は青梅のテレコムセンターだったのか?

今日の現場はテレコムセンター駅だといわれました.テレコムセンターというと13号地埋立地のさきっぽにあるへんてこな形状のビルです.

新橋でゆりかもめに乗ったら、先頭座席に座れました.らっきぃ.ゆりかもめは進行します.
↓ゆりかもめはいつもより余分に廻っています.
↓ゆりかもめは道路の下を走ります.
↓ゆりかもめは13号地埋立地を進行します.
↓ゆりかもめの楽しい旅はあっという間に終わり、テレコムセンターに着きました.ここはかつての13号地埋立地、現在の地名は青海です.大江戸温泉が見えます.

ここでクルマで来た人と8:30に集合する手筈になっていたのですが、その人が来ないんです.電話しても出ない.

う~ん、これはまずいのではないか?
こちらの記事で述べたように、青梅と青海を間違えたのではないだろうか?

駅名を「テレコムセンター」とだけ聞いてはるばる来たのだが、もしかしたら青梅のテレコムセンターが正解だったのではないか?  不安が募ります.ゆりかもめの先頭座席に座れた喜びはすっとんでしまいました.

18分遅れで、クルマの人が到着しました.事故渋滞+ケータイ忘れたそうでした.どおりで電話が繋がらないわけだわ.

ホントによかった、青梅ではなくて.

冷や汗だったんだぜ、、、

サブマリナーになれない自分と、スパゲッティーのパンチョに行きたくない自分、あとLOFTもな

みなさ~ん、自分探しの旅に勤しんでいますか~?

自分の抵能な部分だとか、自分の醜い部分を直視して、最底辺から自分を見直すことでよりよい明日を築いてゆこうよ.

-----
スパゲティのパンチョ、わたしが知っているのは秋葉原店、渋谷店、新橋店です.
必ずしも万人に勧められるわけではないが、もとい基本的に誰にも勧めはしないが、だがしかしパンチョの油ギッシュなナポリタンこそ、わたしが求めるナポリタンのストライクゾーンのど真ん中なのです.

今日の夕方、新橋に降り立ったわたしでした.

なんか喰いたい.ナポリタンを喰いたい.パンチョが好き.

でも、いつものことなんだけど、パンチョ新橋店には行きたくないんです.

どういうわけか、パンチョ新橋には行きたくないんです.

そのネガティブな気分が何なのか、意識しないようにしてパンチョ新橋へ降りてゆきました.そう、降りてゆくんです.地下深い奈落へと降りるんです.その先にパンチョ新橋があります.

パンチョ新橋へ行かれた方はわかると思いますけど、地下2階なんです.それも、狭い階段と狭い廊下の奥に店があるんです.

今日パンチョ新橋へ行って、自分がどうしてパンチョ新橋へ行きたくなかったのかに気づきました.それは、、、、
わたしに閉所恐怖症の傾向があるから
パンチョ新橋のロケーションのゲスさはかなりのものです.地震が来たら生き埋めになって、パンチョの炎でじわじわと焼かれて死ぬんです.そのビジョンがよぎります.怖い.パンチョ新橋は怖すぎる.
----
同じ理由で怖いお店がもうひとつあるのを思い出しました.

新宿歌舞伎町のLOFT/PLUS ONEです.ここも地下2階なんです.
地震が来たら生き埋めになって、LOFTの炎でじわじわと焼かれて死ぬんです.そのビジョンがよぎります.怖い.LOFT P1は怖すぎる.


そういうわたしには、サブマリナーになる適性はありません.それは判っていたんです.でもそれがパンチョ新橋とLOFT P1に結びついていたのだとは、今日になるまで悟りを開けていなかったのです.

生き埋めよしっ、、、

2017年1月29日日曜日

声が嫌いな人リスト

声フェチっているよね.クラリスの声が好きとかいうあれのことです.アニヲタであるわたしも声については日頃から審美眼(審美耳)を持って人と接したりアニメを観たりしているつもりです.

ある日学校で、峰不二子みたいな色っぽい声が聞こえてくるんで何?何?と周囲を見回したら知らない女子がいる.声の主は転校生でした.美声に聞き痴れました.

絶対音感を持つ人は、周囲の騒音の音階がズレていたりするととても不快感を覚えるらしいですね.特技ではあろうけど不幸にも思えます.

やや声フェチ属性のあるわたしとしては、悪い声の人の放送や歌が聞こえてくると不快感を覚えるんです.

わたしが声を嫌いな有名人を以下に挙げます.

辛坊治郎
内容は別になんとも思わないが、喋り方がうるさい.アナウンサーなんだからそんなに焦らなくても伝える術があるだろうにといつも思う.こんなの持ち味じゃねぇ.


広瀬香美
高圧力の発声が聞き苦しい、歌のサイボーグかこいつはと聴くたびに思う.1:25あたりのサビの部分などが特に不快.そしてそれを否定してしまうともはや彼女の曲を聴くのは不可能. (この歌の歌詞にでてくる「幸せの羊羹」というのを食べてみたい)


柴咲コウ
0:15あたりからの一人セリフを画像を見ずに聴いてみる.ハスキーと舌足らずの二重苦で、どんだけ魅力の無い声なんだよと思う.彼女が主演した映画「大奥」のPVが彼女の声の悪さが際立つのだが、youtubeでその場面を見つけることはできなかった.天は二物を与えず.女優なのにもったいない.


大江千里
vocalだけど、声が出ない人だねぇ.酸素不足の金魚が水面でパクパクしてる様が思い浮かんでしまう.くるしいたすけて.


声が悪いだけで罪であります、わたしにとって

エイメン

プリント基板試作メーカーの価格比較 (激安)

国内のプリント基板試作屋で有名なのはP板.comがあります.国内企業なので価格はあまり安くないです.わたしはPCBCARTという中華メーカーを何度も利用しました.PCBCARTは安いのですが、それよりももっと安いメーカーが出てきているようです.しかも驚きの安さで.

以下でオンライン見積もり価格を比較してみます.

見積もりスペックは、4層1.6mmシルク片面  100x100mm 10枚  納期長め  野菜アブラ増しです.

P板.com     ¥47520(税込み)  たぶん送料込み

PCBCART      $145 = $125本体+$20送料(DHL予想)

PCBWAY        $74 = $53本体+$21送料(DHL)

3PCB             $68 = $47本体+$21送料(DHL)

Fusion PCB     $65 = $45本体+$20送料(DHL予想)

下の3社は過激なまでに安いです.

2年前にはこんなに安い会社に気づきませんでした.去年あたりに出来たのかな?

競争が激しくて大変そうです.

かしこ

2017年1月28日土曜日

東京都立大学マンガ研究会OB新年会、創業者の死に水を取らず、

東京都立大学マンガ研究会OBの皆様、明けましておめでとうございます.
本年もたくさんのマンガを読み、たくさんのマンガ・イラストを描きましょう.

本日は東京都立大学マンガ研究会OBの新年会を行いました.下北沢のカラオケの鉄人に12時集合19時解散でした.

出席者は8名で年度順に、
      創業者     Iさん、Nさん
  +1学年下   Aさん
  +3学年下   Kちゃん、平坂
  +4学年下   Nくん  (Nは二名いるうちの一名)
  +5学年下   Sくん
  +6学年下   Aくん

12~15時はカラ禁で飲み会を行いました.

15時~19時はカラオケを行いました.歌った曲のリストを以下に記します.カラ鉄は曲数が多いため、知らない曲が多数ありました.

カラ鉄に支払った総額は¥32746でした.8人で割りました.

途中から創業者のIさんが体調不良でトイレに篭りきりになってしまいました.カラ鉄前で解散しましたが、一応わたしは旧知の仲ということでIさんの死に水を取らねばならぬとカラ鉄前でIさんを待ったのですが、20分経ったところで酔っ払いの帰巣本能を信ずることとして見捨ててしまいました.

さほど飲んだつもりではなかったのですが、わたしは少し気持ち悪いです.

それでは次回はGWによろしくお願いいたします.


------カラオケ曲リスト------(順不同)
時をかける少女
海の声  (BEGIN)
グレイトノスタルジア
愛燦燦 (小椋佳)
集積ライフ (ハンサムケンヤ)
long long way (ARB)
ダンシングクイーン  (アバ)
恋しくて  (BEGIN)
時の流れに身をまかせ  (テレサテン)
怪盗ルビィ  (キョンキョン)
恋  (星野源)
限りない欲望  (陽水)
世界に一つだけの花  (SMAP)
島人ぬ宝  (BEGIN)
月の裏で会いましょう  (ORIGINAL LOVE)
灰色の水曜日  (ARB)
you're my only....
エンジェル  (RCサクセション)
一本道  (友部正人)
ハリウッドスキャンダル  (郷ひろみ)
風に吹かれて  (ボブディラン)
白夜  (吉田拓郎)
サマーヌード (真心ブラザーズ)
ハリケーンドロシー  (細野晴臣)
カナダからの手紙
浪漫飛行
SAY YES
オリビアを聴きながら
糸 (クリス・ハート)
永遠の嘘をついてくれ (吉田拓郎)
夢で逢えたら  (大滝詠一)
オネスティ
アゲイン (吉田拓郎)
本降りになったら  (大江千里)
美しき狼たち  (おぼたけし)
子供に  (吉田拓郎)
午前0時の街  (吉田拓郎)
店の名はライフ  (中島みゆき)
家族になろうよ  (福山雅治)
雨のウェンズディ  (大滝詠一)
時の過ぎ行くままに  (沢田研二)
目覚めた時には晴れていた   (伝書鳩)
九月の雨  (太田浩美)
木枯らしに抱かれて
シャドーボクサー
いつの日か  (こおろぎ73)
JANUARY  (大江千里)
サーフ天国スキー天国
シルエットロマンス
心の旅   (チューリップ)
海よ  (子門正人)
MONKEY MAGIC (ゴダイゴ)
Toutubeテーマソング
銀河鉄道999
星間飛行  (中島愛)
風立ちぬ   (松田聖子)
ゲスの極み乙女メドレー
東京  (マイペース)
今日も誰かの誕生日  (キリンジ)
私以外私じゃないの
青雲のうた  (森田公一)

2017年1月27日金曜日

ヤマトに乗れ! ヤマトに乗るのだ!

ヤマトに乗れ!

2月25日にヤマトが抜錨するまで、毎日1万回は「ヤマトに乗れ!」を唱え続ける所存であります.

宇宙戦艦ヤマト情報収集担当エージェントによると、以下のような消息筋ネタがあるようですから、ヤマト乗組員第一次選考者名簿記載の当ブログ読者諸氏としては到底うかうかしてられませんぞ.

ガミラス地球連合vs彗星帝国の艦隊戦.
我が方の損害著しく、連合艦隊壊滅の危機が迫っている.
そこへ地球軍のアンドロメダが加勢し拡散波動砲で敵を一掃する...

↑第1章のもしかしたら第1話でこんなシーンがあるかもしれません.

↓そのシーンがこれかと思われます.

いやはやいきなりこんなシーンを見せられたりしたらヤマト乗組員としては失禁不可避すぎる展開ではないでしょうか? わたしは尿モレ防止パッドを当ててから劇場に赴くことに決めました.そんじゃないと劇場に迷惑をかけてしまいそうだわ.

他には、森雪の過去について何らかのタネ明かしがあるかもしれません.2199で伏線は在ったけれど回収されていませんものね.まぁ第1章で森雪の過去が描かれるかどうかは不明ですけど.

予告PVに、森雪の過去に絡んでいるはずの土方さんが一度も出てこないんですよねぇ.

ヤマトに乗るのだ!

2017年1月26日木曜日

東芝よオマエもか

国内最後の総合電機メーカーっていうのがわたしの東芝に対するイメージでした.ここで総合と云うのは重電+家電+半導体を全部やってる会社というような意味で使ってます.

電機メーカーにとって先端技術をものにするには半導体への前のめりな投資は避け難いと思います.1990年代、1.3umプロセスのバイポーラICで商売ができていた楽チンな時期は小さな半導体メーカーが乱立しても食っていけてましたが、0.13umプロセスの頃には設備投資が過大になり、ビジネス規模を大きくしなければ食っていけなくなり、2000年からこっちのM&Aレースの火蓋が切って落おとされた時期にはもう国内半導体メーカーは結婚相手を見つける元気すら無くなっていました.日本国が主導してルネサスという老人ホームに皆で入居したのが多くの日本半導体メーカーの末路でした.
(日米半導体協議で抑えつけられた面はあったにせよ、2000年代のM&Aレースで負け組になったことの方がダメージ大だったと思う)

そんな中で東芝だけは独立した半導体メーカーとしてFLASHなどに投資する元気を温存していたので、東芝には頑張ってもらいたかったです.いま盛んに事業切り売りしている東芝の将来は大丈夫なのでしょうか?

ソニーが他社からどんな目で観られていたのかはわたしはインサイダーだったので知りません.逆にわたしがソニー以外の各社とお付き合いしていた中で、東芝だけはかなり異質な精神性を持った会社だなという印象を持っていたんです.それは、
客に対してかなり当たりがキツイ珍しい会社
日本企業でこういう精神性の会社は珍しいです.
調達部署の態度がベンダーに対して高圧的なのは日本全国津々浦々どこの会社でもそうですけど、東芝の場合はベンダーの立場でありながら、買い物客であるこちらに対して堂々と自社の精神性をぶつけてくる、、、そういうニュアンスです.けれども東芝社員は東芝WAYに誇りを持っているわけではないんです.ガッツでドーンと撃破するやり方以外知らないみたいな感じでした.よしっ、勝ったから次の戦場へ行くぞ!みたいな人々.

2016年、東芝が全社一丸でやっていたチャレンジという名の粉飾決算がバレたとき、ああいった過剰なチャレンジはあの精神性ゆえだったのだろうなぁと、現役リーマン時代の記憶が蘇った次第です.
そしてまた、自立できなくなった日本の電機メーカーを尻目に東芝だけが2016年まで自立して来れたのは、あのキツイ精神性ゆえだったのかなとも思いました.

ところがいま、粉飾決算の発覚で東芝の精神性が否定され、また合従連衡を拒んできた東芝の精神性が合弁事業で薄められつつあるとしたら、、、つっかえ棒が外れたように急速に弱体化してゆくのではないかと心配に思います.

東芝よ、お前も流民のようになってしまうのか?

エイメン

2017年1月25日水曜日

【中華通販】 激安FPGA/CPLDのコスパについて

仕事柄、FPGAをよく使います.為替がやや円安傾向なこともあって、中華通販で半年ぐらい前に買えていた価格よりも高価格に振れていて、XILINXのSpartan3Eばかり使っていたのを改めようかと思っています.

そこで、XILINXとALTERAのFPGA/CPLDのうち、中華通販で低価格帯で売られているプリント基板に載っている品番で同一のverilog回路をコンパイルし、コストパフォーマンスをチェックしました.verilog回路はフリップフロップが41個の本当に小さな回路です.

以下、コスパが高いと思った順に5種類です.いずれも日本国内で買うより激安ではあります.(価格は為替の影響で日々変動します)

1位
ALTERA Cyclone IIシリーズFPGAのEP2C5T144C6が載った基板です.価格は約¥1500ですが、回路占有率=2%と、敷地は広大です.
  Total logic elements                101 / 4,608 ( 2 % )
  Total combinational functions       99 / 4,608 ( 2 % )
制御回路なら大抵はこれに納まるでしょう.信号処理回路でFIRフィルタをドカッと実装するにはさらに大規模な品番を採用する必要があるでしょう.メインに使うプリント基板として常備するのはこれが本命と思います.

2位
XILINX coolrunnerシリーズCPLDのXC2C64Aが載っています.正体はXBOXをハッキングするためのMatrix Glitcherというプリント基板らしいのですが、JTAGポートから焼き換えれば別の用途に化けます.価格が¥380と激安なのが特徴.
  Macrocells Used     63/64 ( 99% )
  Registers Used       62/64 ( 97% ) 
回路占有率はほとんど満杯なので本当に小規模用途にしか使えません.それと、もったいないことにIOピンが7本しか配線されていないプリント基板なのです.お助け基板として常備してもよいかも...

3位
ALTERA MAX-IIシリーズCPLDのEPM240が載った基板です.¥737とは安価です.
  Total logic elements    102 / 240 ( 43 % )
回路占有率が43%でした.CycloneやSpartanを使うほどでもない場面はよくあるので、そういう時にどうぞどうぞ.

4位
いつもおなじみの、XILINX Spartan3EシリーズFPGAのxc3s250eが載った基板.
   Number of Slices        68 out of 2448  ( 2% )
2位のCycloneIIと同じくらいの回路占有率ですが、価格は¥2600と割高です.ALTERAはXILINXに対抗するべく低価格、XILINXは王様なので高価格、という事情のようです.

5位
XILINX CPLDのXC9572XLが載った基板.割安なEPM240と比較するとこれをチョイスする理由がないです.
  Macrocells Used      69/72(96%)
  Registers Used        62/72(87%)

ちょっちALTERAに浮気しようかしら?

ALTERAを使うのは15年ぶりぐらいかもしれません.

かしこ

ソフト指し将棋疑惑の冤罪で将棋連盟会長が引責辞任の件

日本将棋連盟の会長が引責辞任した「ソフト指し」疑惑の件で、第三者による調査報告書というのがあるので読みました.

会長が引責辞任した理由は、ソフト指ししてるんじゃね?という疑惑の段階でありながら、三浦棋士に出場停止処分を下してしまい、後日の第三者による調査結果でシロ判定が出てしまったという、謂わば冤罪の責任を取ったのでした.

報告書から時系列を抜き出してみると、10月11日が日本将棋連盟にとっての鬼門の一日だったようです.

2016年7月26日     疑惑の対局    (離席多い、ソフトとの指し手が一致する)
2016年7月29日     月例会にて久保棋士が、三浦棋士の30分離席疑惑を報告
2016年8月26日     疑惑の対局    (同上)
2016年9月  8日     疑惑の対局    (同上)
2016年10月3日     疑惑の対局    (同上)

2016年10月10日
将棋連盟幹部の非公式会合  (文春砲が脳内にチラつく)
 ・久保棋士が三浦棋士の「30分離席疑惑」を上申
 ・渡辺・千田棋士が三浦棋士の異常な「指し手一致率」を上申

2016年10月11日
常務会に三浦棋士を呼び事情聴取
 ・三浦棋士は疑惑を否定するも、幹部の心証は悪化した
 ・三浦棋士は休場したいと言った
 ・三浦棋士はスマホ・PCを連盟の調査に預けることに同意した
 ・将棋連盟は三浦棋士に休場届を早急に提出するよう求めた
事情聴取の後、三浦棋士は代理人と相談して翻意した
 ・スマホ・PCの提出拒否
 ・休場届は代理人と連盟の話し合いで決着する
連盟は、10/12 15時が休場届提出のタイムリミットであると告げた

2016年10月12日
 ・三浦棋士の代理人が休場届の提出を拒否
 ・連盟は三浦棋士を出場停止処分

2016年10月中旬   週刊文春に疑惑の対局記事掲載
2016年10月15日   竜王戦開始
2016年10月27日   第三者調査委員会設置
2016年12月26日   第三者調査報告書提出
2016年12月31日   三浦棋士の出場停止解除

10月11日が将棋連盟にとっての運命の日でしたな、うはは.

調査結果の事実認定はこうなっています.将棋連盟のゼロ勝3敗です.
1) 三浦棋士のスマホ、家族を含むPCに、疑惑に合致する痕跡はなかった
2) 指し手一致率をソフト指しの有無を判断する指標として使うのは無茶なので却下
3) 連盟幹部が疑惑を深めた理由である「30分の離席」について、ビデオ分析によりそんな事実は無かった (久保棋士の言いがかり)

調査報告書のまとめでは、連盟が下した処分は妥当であったとも述べています.すなわち、竜王戦の直前の段階で、物証を含む検証は時間的に不可能であり、緊急避難的な出場停止処分は妥当であった.また、三浦棋士が休場に同意→拒否と態度を変えたことは三浦棋士にも瑕疵があった.調査の結果シロだった三浦棋士に対する事後的な補償が必要である.

ちなみに「指し手一致率」とは、将棋ソフトの回答と、人間の指し手がどれだけ似ているかの指標.10月10日の非公式幹部会合で三浦棋士の指し手一致率の不合理さを上申したのはこの2名.文春砲の存在を幹部に上申したのは渡辺棋士.
「30分の離席」という誤情報を流した久保棋士はこの人.10月10日の非公式幹部会合にテレコンで参加し、30分離席を上申もした.
-----
以下はひら感想です.

疑惑の段階で竜王戦へ出場停止させられてしまった三浦棋士には災難でしたね.調査報告書は、三浦棋士がスマホ・PCの提出と休場届の件で翻意した件を非難していますが、無防備状態で査問され動転して口走った内容を、後に弁護士と面会して撤回するのは許されると思います.
また、調査報告書のまとめで「三浦棋士への補償が必要」と書かれているのは、「将棋連盟は裁判で負けるぞ」って露骨に忠告されていますね.なんか微笑ましいです.(調査委員は弁護士です)

ただ、日本将棋連盟が下した竜王戦への出場停止措置には、わたしは賛成なんです.短時日の判断としては会長のクビを掛けてわたしでも同じコトをしたと思います.「精密調査でシロ認定されたらオレは辞任だな」という覚悟は会長にとって必要だったでしょう.

背景事情として、ソフト指しの疑心暗鬼が蔓延する将棋業界において、三浦棋士の離席の多さは、とりわけ若手棋士から怪しまれる部分が多かったと推測します.一方で将棋連盟幹部の世代はソフト指し問題に対する感度が相対的に低く、具体的な防止策は採られていなかった.
そんな状況下で、渡辺・久保・千田ら若手棋士の上申が幹部に与える影響度は大きく、幹部達は「ついにきたか」的な思い込みに支配されちゃったのでしょう.それはそれで困るんですがね.だってさ、久保棋士のいう「30分離席疑惑」ぐらいは将棋連盟スタッフに命じてビデオチェックぐらいできただろうに、チェックしなかったそうです.

仮に時間を戻して、将棋連盟会長がクビにならずに済ますとしたら、ソフト指し防止システムを事前に導入しておく他なかったと思います.現状のまま進んでいたら、いずれ誰かが冤罪になるか、クロになるかして将棋連盟の権威に傷が付くのは不可避だったことでしょう.
調査報告書によれば、若手棋士達の「疑惑の根拠」は全否定されてしまいました.ですがひとまず、ソフト指し防止対策の導入を幹部に上申したがったと思われる彼らの危機意識には同意せざるを得ません.

つまるところ、4つの登場人物の相乗作用で見事に冤罪に向けて突進してしまった感じがします.
 1) 三浦棋士の離席グセ  (=疑われやすい人)
 2) 若手棋士のソフト指しへの危機意識  (告発の根拠は否定された)
 3) 文春砲
 4) 後手に廻った将棋連盟幹部

引責辞任した谷川会長は「年末年始で考えて辞任を決意」などと言ったようですけど、未練を匂わす辞め方は賢くない.「冤罪で迷惑を掛けた、わたしのクビで勘弁して下さい」と述べてサバサバと去ればいいんです.

エイメン

宇宙戦艦ヤマト2202 またまたPVがリリース

おっとまた出たヤマトのPVが! なんだか定期的に出ておるな.今回もねちっこ~くチェックしておきましょう.

↓ところでこちらのビジュアルは森雪のポーズが古めかしい.いまどきこういう70年代テイストな構図は珍しいかも.わたしの心の琴線にさらっと触れてくるものがあります.
↓それでもってこれが新しいPVです.
↓テレサの声が出たのはこれが初だったかと思います.そしてCVはなんと、なぜか、神田沙也加(松田聖子の娘)なんですねぇ.どういうキャスティングだか? 思ったよりも違和感がないのがむしろ不思議だったりする.
西崎義展というと、この本を半分ぐらい読んだところで中断してます.読んで楽しい本じゃないんでかなり疲れます.王様にしかなれない男、西崎義展.
↓これはガトランチスのロボと思われます.場所はテレサが幽閉されているところかな?
↓ガトランチスの宗教施設かと思われる描写.この後で信徒を巻き込んで爆発してしまいます.
↓右側にきりしま型が3隻見えます.きりしま型に波動エンジンを載せてワープとか高出力ビームを可能にした「きりしま改」があるのかな? きりしま好きなんで活躍してくれるのはうれしいですが、やられ役のきりしまを見るのは心が痛むよ.そして左奥にたくさん飛んでるのはアンドロメダか、それとも主力戦艦か?
↓拡散波動砲でやられちゃうガトランチス艦隊と、右にいるのはあの盾形ドメラーズです.第一章で早くもガト・ガミ・地球で一戦交えるのでしょうか? 展開速すぎじゃね?
↓あれからたった3年でよくぞここまでと思うアンドロメダ1番艦~4番艦.左右の艦は艦尾が妙な形状ですな.
↓アンドロメダには遠くから大砲撃ってるだけじゃなくてこういう機動も頼みたいところです.しかし第5章は燃えたな.
↓この人は山本ですかね? 月面クレーターに擬した蓋がパカッと開いてるのは何だろ?
↓今回のPVの衝撃のシーンがこれでした.ドックに保管されているヤマトってこんな風にボロボロなんです.いったいどうしてこんなになってるの? 2202の制作開始直後に公開されたビジュアルはこのシーンだったのですね... まさか、地球圏に戻ってきたヤマトがデスラーに襲撃されるエピソードが2199にも在ったのかな? もしかして2202でデスラー出てくる?
↓ヤマトドックは月面かと思っていたのだけど、これを見ると地球の海中のようです.

ヤマト抜錨まで、あと1ヶ月、、、

ヤマトに乗れ

2017年1月22日日曜日

秋月電子で売られているADC12138の設計情報ってなぜかないよね?

昨夜、書きかけで公開してしまい、地雷を踏んだ約10名の方々にはご迷惑をおかけしました.改めて公開します. orz


秋月電子で売られているADC12138というADコンバータICがあります.オーディオ帯域のAD変換にはまぁ便利なんじゃね?っていうスペックなので使ってみることにしました.

なるべく自分では何もせずに楽をしたいので、ネット検索してみたものの、設計情報が見当たりません.あの秋月で売られているのにあまり人気の無いICなのでしょうか? 仕方がないので、楽をしたい人のためにADC12138をこんな風にして動かしましたという情報をタレ流しておこうと思います.

なお、ここに書いた情報が間違っていて貴方がドツボにはまってもわたしは関知しないので素直に死にましょう! 何度も死んでこそ解決する問題もあるよな? そうだよな? なっ?


データシート
データシート(日本語)はあるのだが、読んでもいまいち言いたい事がアタマに入らぬデータシートだった.以下はわたしの脳内理解によるもの.

ピン配置
大雑把には、チップの左側はアナログ8入力マルチプレクサCH0-7(差動・非差動可能)で、右側がデジタルシリアルIFになっている.マルチプレクサを使わない場合は、ADIN1,ADIN2へ音声信号を直接入力してもよい.
変換クロックCCLK
CCLKは最大5MHzの変換クロック.1変換にはなんだかんだと50クロックぐらい要するので、最大サンプリング周波数は100kHzぐらいになる. (以降ではfs=100kHzを設計目標とする)

変換bit数
カタログには12bitADCと書かれているが、差動入力で使うと実質的に13bitADCとして使えるとわたしは理解した.

フルビット定義
ADINへの入力電圧範囲は、VREF+~VREF-の電圧範囲である.(以降ではVREF+=3.3V、VREF-=GND、という設定で進む)    アナログ入力電圧とフルビットの関係は、、、
非差動入力の場合はこうなる.
    3.3V  →  13'b0_1111_1111_1111     フルビット
    GND   →  13'b0_0000_0000_0000     デジタルゼロ
差動入力の場合はこうなるらしい.(実機で動かしてないので推測)
    3.3V  →  13'b0_1111_1111_1111     2の補数の+フルビット
    0.0V  →  13'b0_0000_0000_0000     デジタルゼロ
   -3.3V  →  13'b1_0000_0000_0000     2の補数の-フルビット
すなわち、ADC12138を非差動で使うと符号bitがゼロ固定なので12bitADCとしてしか動作しないが、差動で使うと符号bitが稼動するので13bitADCとして動作してくれる.差動だとアナログダイナミックレンジも2倍お得.(差動については動かしたわけじゃないが、データシートからはこう読めた)

SCLK
SCLKは、ADデータをシリアルIFするための最大5MHzのクロック.CCLKとの同期は不要.
DIはADC←外部へコマンド[7:0]を与えるpin.
DOはADC→外部へADデータ[12:0]を出すpin.

制御信号
DOR,CS,CONV,EOC,PDは制御信号.
   ・DORは出力データの存在を示すが、100kHzで連続サンプルするのにDORを知ってもあまり意味が無いのでシカト
   ・CONVはLOW固定で使えばよい
   ・EOCは変換終了を示すが、100kHzで連続サンプルするのにEOCを知ってもあまり意味が無いのでシカト
   ・PDはパワーダウンなのでシカト
   ・重要なのはCSのみ
シリアルIFはSCLKとCSのみで駆動されると単純化して考えてよい.SCLK=連続した5MHzにして、CSをパコパコとLOW/HIGHさせてDI/DOを上手に操作すればよい.DOを吸い出し終えると次の変換動作が起動される仕組みであるため、ADC12138のサンプルレートは結果的にCSレートで決まる.ゆえにCSを100kHzでパコるのがここでの使い方の基本である.

タイミング
SCLKと信号のtiming関係は、、、
  ・FPGAは入力信号をSCLKのrize-edgeでラッチする
  ・FPGAは出力信号をSCLKのfall-edgeで変化させる
  ・ただしCSの遷移はSCLK=0の期間に行うこと    ←げーっめんどくせぇ
  ・ビット順序はLSBファーストが基本
ゆえにtimingはこんなイメージになる.
なお、実機で試してみて、CSの立ち上がり時刻を右の方に数クロック延長しても異常動作はしなかった.

DIは何をするのか?
入力マルチプレクサ選択、キャリブレート、モード設定、、、などのコマンドである.
使わないときはゼロ固定で良いよね?と思うのが人情だが、そうではなく、サンプル毎にサンプリングコマンドDI[0:7]=8'bYYYY_0010 を送信しなければならない.YYYYはチャンネルセレクトbit.

追記: サンプリングコマンドに問題があるので末尾に説明を追加しました.

キャリブレート
起動後最初に送るのがキャリブレコマンドである. DI[0:7]=8'b0000_1000 を送信した後に、キャリブレ完了までに1msぐらいかかる.wait回路がかったるい.

DOのビットアサイン
サンプルデータが12bitまたは13bitであるのは既に述べた.その他に16bitまたは17bit転送モードがある.しかしADCが16bitや17bitサンプルになるわけではない.
データシートには、12,13,16,17bit転送モードにおけるbit assignが下図のように複雑に定義されている.FPGAが受信するのはDB0ファーストである.
わたしが動作確認したのはpower ON デフォルトの「with sign MSB first 13bits」モードだけである.モニタ信号としてFPGA外部へDB[1:8]を出力させた(下図赤囲い).非差動アナログ信号をADCへ入力したので、符号bitは常にゼロなのでDB0を無視して差し支えなかったのである.


実動作テスト
こういうブロック図で、ループバックテストをした.
上側のDACで1kHz三角波をつくり、ADCに入力する.FPGAは100kHzでサンプル制御する.DOをmonへ回送し、下側のDAC出力をオシロでモニタする.オシロには1kHz三角波が表示されればループバック成功である.
↓プリント基板
DACはR-2Rラダーで作った適当なもの.VREF+をボリウムで与えているが、3.3Vを与えているに過ぎない.
↓制御信号波形
・CSの周期は100kHzであり、サンプル周波数も100kHzである.LOW区間でDOアクセスが生じる.
・AD変換はCSの終了と同時に開始され、約4uSec後に変換終了=EOCが発生している
・これらのタイミング関係から、サンプル周波数を150kHzぐらいまで高くできそうに思われる.
追記: この写真には問題があります.DOが13bitでなく9bitしか変化してません.原因を末尾に追記しました.


以上でおしまい.ADC12138はあまり使いやすいADCではないかなぁ.

それでは読者諸氏の健闘・検討を祈って筆をおくことにしようそうしよう.

かしこ


------
追記: DOがなぜか13bitでなく9bitしか変化しない問題に気づきました.

↓原因は、サンプリングコマンドを表わしているデータシートのこの箇所でした.この箇所はサンプリングコマンドは01000010だと言ってるんですが、現象としてはこれだとDOが9bitになっちゃいます.誤植かもしれませんが、TIサイトの最新の英語版データシートにも同じ情報が記載されています.
↓正しい情報はデータシートのこの箇所です.この4パターンだけがサンプリングコマンドであると明記されています.にもかかわらず、上の01000010はこの4パターンのどれにも該当しません.
ひとまず謎の01000010は隠しコマンドと考えます.感触では変換時間を短縮する効果がみられますが、無視することにします.

↓以上より、サンプリングコマンドを8'b0000_0000にして、アクイジションタイム=6にして、サンプル周波数=78.125kHzを達成しました.これが制御信号の波形です.謎のコマンド8'b0100_0010を使っていた時よりも変換時間が長くかかるようになりますので、サンプル周波数100kHzは達成できなくなりました.ギリギリまで攻めて78kHzです.

修正版のソースコードはこちらです.

かしこ

2017年1月21日土曜日

【電子工作】 AUO B101EAN01 でHDMI LCD displayを作ってみる (完)

中華通販LCDでHDMIディスプレイを作ってみるシリーズの、今回は最終回です.

↓ラズパイPCの出来上がりということになりました.Raspberry-pi3でyoutubeの麻雀番組を見ているところ.フリーズなどせずにフツーに観れます.
↓裏面はこんなかんじ.左の基板はHDMI→LCD変換回路、右上はラズパイ3、左上の小さいのは5V→17VのDDコンでバックライト用.中央部の紫色の基板はLCD panel接続のためのピッチ変換基板.
ラズパイを除く消費電力は5V 650mAぐらいです.
HDMIは周波数の高い信号ですけど、このようなクソ配線でも意外と動くものです.差動伝送の恩恵かと思います.

今までの出費
(LCD部分)
  LCD                            ¥2000   ←安い!
  ピッチ変換基板+FPC   ¥1000   ←クソ高い!
  HDMIケーブル                ¥200
  FPGAボード                 ¥2600   ←クソ高い!
  DDコン                          ¥100

(PC部分)
  ラズパイ3                    ¥3400
  SDカード                      ¥1000
  無線KBD+MOUSE         ¥2200


ソースファイル
XILINX ISE13.3のprojectフォルダをupしときました.他バージョンのため開けなかった場合には、top.vがトップモジュールですので、適宜add sourceしてください.
全てのソースを解説するのもかったるいので、以下ではポイントだけ解説します.


FPGAブロック図
FPGAボード上のSpartan6で、HDMI-LCDのデータ変換をします.HDMI信号をTDMSで受信して、LCDパネルへの信号をLVDSで出力します.ブルーの四角はXILINXのcoreです.クロックは750M, 150M, 75M, 525MHzの4種類を使います.

シンボル抽出回路
HDMIケーブルから来るビットストリームの正体は、10bitシンボルの連続体です.ゆえにビットストリームを適切な位置で10bitにブツ切りする必要があります.ビットストリームを20bit shift registerに流し込んでやりますと、連続したいずれか10bitが正しいシンボルであるはずです.正しいシンボルの位置が一度決まれば、10bit時間間隔で同じ場所を切り出せば常に最新の受信シンボルを得られます.というのが原理です.

↓ソースコードではここらへんです.
// parallel RGB data
reg [19:0] r20,g20,b20;
always @(posedge clk150) begin
 r20 <= {r5,r20[19:5]} ;    20bitシフトレジスタ
 g20 <= {g5,g20[19:5]} ;    20bitシフトレジスタ
 b20 <= {b5,b20[19:5]} ;    20bitシフトレジスタ
end

// extract RGB symbols
reg [4:0] symbol_boundary_position;
reg [9:0] symbol_r, symbol_g, symbol_b;
always @(posedge clk75) begin
 {symbol_r, symbol_g, symbol_b} <=     適切な位置でシンボルをブツ切りする
symbol_boundary_position==19 ? {r20[19:10],g20[19:10],b20[19:10]} :
symbol_boundary_position==18 ? {r20[18: 9],g20[18: 9],b20[18: 9]} :
symbol_boundary_position==17 ? {r20[17: 8],g20[17: 8],b20[17: 8]} :
symbol_boundary_position==16 ? {r20[16: 7],g20[16: 7],b20[16: 7]} :
symbol_boundary_position==15 ? {r20[15: 6],g20[15: 6],b20[15: 6]} :
symbol_boundary_position==14 ? {r20[14: 5],g20[14: 5],b20[14: 5]} :
symbol_boundary_position==13 ? {r20[13: 4],g20[13: 4],b20[13: 4]} :
symbol_boundary_position==12 ? {r20[12: 3],g20[12: 3],b20[12: 3]} :
symbol_boundary_position==11 ? {r20[11: 2],g20[11: 2],b20[11: 2]} :
symbol_boundary_position==10 ? {r20[10: 1],g20[10: 1],b20[10: 1]} :
                              {r20[ 9: 0],g20[ 9: 0],b20[ 9: 0]} ;
end

SYNC検出回路
正しいシンボルの位置を決めるための回路です.上のソースコードで参照されている symbol_boundary_position という変数を決める役割です.
ビットストリームから探索するSYNCパターンは、垂直・水平同期期間でのみ出現する、1101010100 です.1101010100 は、1水平期間毎に必ず到来するはずなので、100uSec待っても1101010100 が到来しなければそれは、symbol_boundary_position が不正値である証しですから、symbol_boundary_position を+1します.1101010100 を受信できるまで+1を繰り返します.というのが原理です. (これがHDMIの通常の作法なのかは知りません)

↓ソースコードではここらへんです.
// sync pattern definitions (=control period symbols)
wire [9:0] sync0 = 10'b1101010100; // zero
wire sync = symbol_b==sync0;

// check sync
// 100uSec timer to detect H-SYNC
reg [12:0] timecnt;
always @(posedge clk75 or negedge xrst)
if(!xrst)     timecnt<=2;
else if(sync) timecnt<=2; // if sync then timer is initialized
else          timecnt<=timecnt+1;

// if no sync then change symbol boundary
always @(posedge clk75 or negedge xrst)
if(!xrst) symbol_boundary_position<=19;
else if(timecnt==0) // when missing sync
begin // change symbol boundary
       if(symbol_boundary_position==19) symbol_boundary_position<=18;
  else if(symbol_boundary_position==18) symbol_boundary_position<=17;
  else if(symbol_boundary_position==17) symbol_boundary_position<=16;
  else if(symbol_boundary_position==16) symbol_boundary_position<=15;
  else if(symbol_boundary_position==15) symbol_boundary_position<=14;
  else if(symbol_boundary_position==14) symbol_boundary_position<=13;
  else if(symbol_boundary_position==13) symbol_boundary_position<=12;
  else if(symbol_boundary_position==12) symbol_boundary_position<=11;
  else if(symbol_boundary_position==11) symbol_boundary_position<=10;
  else if(symbol_boundary_position==10) symbol_boundary_position<=9;
  else                                  symbol_boundary_position<=19;
  led0<=~led0; // LED indicatoro
end


HDMIデコーダーはとても簡単
最低限、RGBのデコーダーと、垂直・水平同期のデコーダーとを実装する必要があります.

まずRGBのデコーダーですけど、HDMI規格書の情報どおりのたったのこれだけです.10bitシンボルを入れると8bitバイトが出てくると、それだけです.
module hdmi_dec
(
input clk,
input [9:0] in,
output [7:0] out
);
wire [9:0] D = in;
wire [9:0] DD = D[9] ? {D[9:8],~D[7:0]} : D;
reg [7:0] Q;
always @(posedge clk)
if(DD[8]) begin
Q[0] <= DD[0];
Q[1] <= DD[1] ^ DD[0];
Q[2] <= DD[2] ^ DD[1];
Q[3] <= DD[3] ^ DD[2];
Q[4] <= DD[4] ^ DD[3];
Q[5] <= DD[5] ^ DD[4];
Q[6] <= DD[6] ^ DD[5];
Q[7] <= DD[7] ^ DD[6];
end
else begin
Q[0] <= DD[0];
Q[1] <= DD[1] ~^ DD[0];
Q[2] <= DD[2] ~^ DD[1];
Q[3] <= DD[3] ~^ DD[2];
Q[4] <= DD[4] ~^ DD[3];
Q[5] <= DD[5] ~^ DD[4];
Q[6] <= DD[6] ~^ DD[5];
Q[7] <= DD[7] ~^ DD[6];
end
assign out = Q;
endmodule

つぎに垂直・水平同期のデコーダーはこれです.4パターンとの一致チェックの結果、de,hd,vdを得るという仕組みです.deはRGB valid、hdは垂直同期、vdは水平同期、の意味です.
wire [9:0] sync0 = 10'b1101010100; // zero
wire [9:0] sync1 = 10'b0010101011; // hd
wire [9:0] sync2 = 10'b0101010100; // vd
wire [9:0] sync3 = 10'b1010101011; // vd,hd
reg hdmi_de,hdmi_hd,hdmi_vd;
always@(posedge clk75)
case(symbol_b)
   sync0 : {hdmi_de,hdmi_hd,hdmi_vd}<=3'b000;
   sync1 : {hdmi_de,hdmi_hd,hdmi_vd}<=3'b010; // hd
   sync2 : {hdmi_de,hdmi_hd,hdmi_vd}<=3'b001; // vd
   sync3 : {hdmi_de,hdmi_hd,hdmi_vd}<=3'b011; // vd,hd
   default:{hdmi_de,hdmi_hd,hdmi_vd}<=3'b100;
endcase


制約は大事です
まずは、TDMSの入力ピンのロケーションと論理レベルの設定のところ.
・下記のロケーションならビルドが通りますけど、近所のピンに変えると「配線リソースがありません」というエラーが出たりするので注意が必要と思われます.
・「=FALSE」の部分は、クロックバッファの自動挿入をするなと命じています.クロックバッファはPLL coreに自動挿入されるので衝突を防ぐためです.
・VCCAUXは、デフォルトでは2.5Vなのですが、TDMSならば3.3Vである必要があります.
NET "clkm" LOC = "P9" ; //| DIFF_TERM = TRUE ;
NET "clkp" LOC = "P10" ; //| DIFF_TERM = TRUE ;  # HDMI clock
NET "bm"   LOC = "P16" ; //| DIFF_TERM = TRUE ;
NET "bp"   LOC = "P17" ; //| DIFF_TERM = TRUE ;
NET "gm"   LOC = "P21" ; //| DIFF_TERM = TRUE ;
NET "gp"   LOC = "P22" ; //| DIFF_TERM = TRUE ;
NET "rm"   LOC = "P23" ; //| DIFF_TERM = TRUE ;
NET "rp"   LOC = "P24" ; //| DIFF_TERM = TRUE ;
NET "clk?" IOSTANDARD = "TMDS_33" ;
NET "r?"   IOSTANDARD = "TMDS_33" ;
NET "g?"   IOSTANDARD = "TMDS_33" ;
NET "b?"   IOSTANDARD = "TMDS_33" ;
NET "clkp" CLOCK_DEDICATED_ROUTE = FALSE ;
NET "clkm" CLOCK_DEDICATED_ROUTE = FALSE ;
CONFIG VCCAUX = "3.3";  # needed for TMDS_33

次にclock制約はtoolで自動生成させた結果です.
・clk50はオンボードXTALですが、最終的に使わなかった
・clkp/clkmは12ns=83MHzで制約しておきました
・グループHDMI_DATAは、1ns before clkp にしました.前回にも書きましたが、仕様が不明なのでこれで動いたからこれでいいや、という設定です.
・グループLCD_SIGNALSは、1ns after clkp にしました.前回にも書きましたが、仕様が不明なのでこれで動いたからこれでいいや、という設定です.
NET "clk50" TNM_NET = clk50;
TIMESPEC TS_clk50 = PERIOD "clk50" 20 ns HIGH 50%;
NET "clkp" TNM_NET = clkp;
TIMESPEC TS_clkp = PERIOD "clkp" 12 ns HIGH 50%;
NET "clkm" TNM_NET = clkm;
TIMESPEC TS_clkm = PERIOD "clkm" 12 ns LOW 50%;
INST "gm" TNM = HDMI_DATA;
INST "gp" TNM = HDMI_DATA;
INST "bm" TNM = HDMI_DATA;
INST "bp" TNM = HDMI_DATA;
INST "rm" TNM = HDMI_DATA;
INST "rp" TNM = HDMI_DATA;
TIMEGRP "HDMI_DATA" OFFSET = IN 1 ns BEFORE "clkp" RISING;
INST "lvo_0m" TNM = LCD_SIGNALS;
INST "lvo_0p" TNM = LCD_SIGNALS;
INST "lvo_1m" TNM = LCD_SIGNALS;
INST "lvo_1p" TNM = LCD_SIGNALS;
INST "lvo_2m" TNM = LCD_SIGNALS;
INST "lvo_2p" TNM = LCD_SIGNALS;
INST "lvo_3m" TNM = LCD_SIGNALS;
INST "lvo_3p" TNM = LCD_SIGNALS;
INST "lvo_clkm" TNM = LCD_SIGNALS;
INST "lvo_clkp" TNM = LCD_SIGNALS;
TIMEGRP "LCD_SIGNALS" OFFSET = OUT 20 ns AFTER "clkp";


-----
設計上のキモのところは以上です.

なお、前回も書きましたが、windows PCに接続しても動きませんでした.PC-LCDのネゴシエーションを実装してないからだと思っています.

読者の皆さんが色々なLCDパネルをいじり倒していただく参考になれば幸いです.なお、上記情報に誤りが在った結果貴方が蒙った如何なる損害もわたしは関知しませんので素直に死んで頂戴ませ.それと、HDMIって使用にあたってはライセンス料の支払いが必要だと思うので、商売で無断で使うのはどうかと思うよ、と優等生ぶってみたりしておこう.

前回へ

かしこ

2017年1月20日金曜日

やるなぁ、APA

ひら的には地方出張で毎度おなじみのAPAホテルですが、外国人観光客が増えたからかと思いますがAPAですら一泊¥15000などという狂ったようなホテル相場になっていて、「ホテル予約できなかった日本死ね」状態なこの頃death. ビジネスホテルには¥6000で泊まりたいよ.

そのAPAが、南京大虐殺という中国共産党のデマへの反論本を客室に配備しているというのが騒ぎになっています.わたしがAPAに宿泊したときに南京デマ本が在ったかどうかは記憶にないのですが、何らかの保守系書籍は在りました.だから何をいまさら騒いでるんだという気がするんですよね.
APAが右翼だってのは昔から有名じゃなかったのかなぁ? 元自衛隊の多母神さんが自衛隊をクビになった原因がAPAの保守系雑誌の懸賞論文で優勝しちゃって顰蹙を買ったためでしたし.

中国共産党だってAPAの保守系スタンスはご存知だったろうにと推察します.なのに何故いまごろになって騒いでるの?
きっと、従軍売春婦の件で日本政府が意外に健闘しているのと、USではトランブが台湾と仲良しで、なんでもいいから反撃材料は無いのか?と反日ネタ帳を探した誰かが「APAがいいんじゃね?」って見つけたんでしょう.
APAを標的にしたのは、ひとまず中共を褒めてあげたいです.だってさ、中国本土の日本企業を吊るし上げたら暴動が起きちゃって困るもんねwww    だから攻撃対象を日本のホテル業者にしたのはひとまず正解なんだよ.中国シンパの日本人という自律支援システムも起動されるしね.お上手deathね~
いま何者かが着火したがっているDHCへも燃料投下指令が下されるかもしれません.その指令に従う日本人死ね.おっとちがった潜入工作員死ね.

中共からAPAへの非難声明に対抗して、「南京デマ本の撤去はしません」とAPAが反論しました.APAは筋金入りの保守ですから、徹底抗戦するんじゃないかな? 少なくともオリンピックまではホテル業は超景気いいでしょうから、中国人旅行客が来ようと来まいと痛くないだろうし.

APAがんばれよ!


----
もうひとつ、日韓の従軍売春婦の件で大使を帰国させた是非についての世論調査で76%が賛成なんですって???   日本人にそんな根性が在ったのかと驚いてしまいました.どーせ半分ぐらいはロクに事情も知らずに「サンセー」って答えたのだろうけどね.

ひら的には、韓国の実情が万人に伝わるように、半島が北朝鮮によって統一されちゃうのが明示的で良いかなと思う. (実際にそうなる可能性よりも、韓国で軍事クーデターが起きる可能性の方が高かろうが)
「サンセー」って答えた人々は、対馬のすぐ先にある赤い半島とか軍事クーデターとかに直面しても「サンセー」し続ける根性はあるのだろうか? あって欲しいものですがw

ちな、わたしは不惑のサンセーw

かしこ

2017年1月19日木曜日

【懐かしパーツ】 山水の小信号トランスはまだ健在だった!

昭和の40~50年代前半の頃、「初歩のラジオ」や「ラジオの製作」が回路好き少年向け雑誌として、ほぼ滅亡している今のパソコン雑誌よりも人気がありました.わたしは「ラ製」よりも「初ラ」の方が好きでした.

その頃の回路製作記事には、必ずと言っていいくらいトランスが使われていました.
よく使われていたトランスはST-32などという製品番号が記憶にあります.
←¥540

そういった回路図を見た中学生のヒラサカくんとしては、あぁトランス買うのかったるいなぁといつも思ったんです.トランスは当時からトランジスタ1つ買うよりも高価で、巻き線比が違うたびに新しく買わなくちゃいけなくて、作るならトランスレスの回路がいいなぁと思っていました.

当時の半導体事情ですとオペアンプをさくさく使えるほどICは普及しておらず、真空管がトランジスタに置き換わった程度の数のトランジスタしか使えなかったため、インピーダンス変換の必要があるならばトランスでやるのが通常の設計作法だったのでしょう.
今じゃインピーダンス変換はオペアンプでやってしまうし、その方がトランスを使うよりも価格が1/10ぐらいで済んでしまいます.

ちょっとわけあって、昔のような小信号用トランスを入手したくなりました.今でも売ってるのかな? 中華メーカーの正体不祥なトランスが見つかるかどうか、、、というのが期待値でした.

ところが! ネット通販を探したら、なんのことはない、秋月でST-32などがまだ売られているんです.

えぇ~っ、ST-32って山水だったの!? 山水のアンプ、トランスというと、80年代のオーディオ全盛期の有名メーカーでした.ST-32はその山水だったのですね.

だけど、山水という会社はもう存在しないはずです.いったいどこの誰が山水のST-32を生産しているのでしょうか? 江戸川コナンくんも冷や汗が吹き出てしまうほどのミステリーです.

wikiを調べてみたら、真空管オーディオショーでも見かける、橋本電気株式会社に山水トランスの製造販売権が譲渡され、いまでも秋月で売られているST-32がそれであるようです.

赤井は消滅し、パイオニアも消えそう、ナショセミはTIに吸収され、フェアチャイルドはオンセミに吸収され、あのLTですらADに吸収されて、いろんな老舗が無くなってしまう中で山水ブランドが意外な形で残っていたとは、昭和時代からの回路ヲタクとしては深く感銘を受けざるを得ませんでした.

しかし¥540は決して安くは無いですね.

かしこ

2017年1月18日水曜日

2017年1月期アニメ インプレッション (豊作)

2017年1月期のアニメが始まりました.毎週お楽しみな作品がいろいろあって豊作かもしれんかなぁと思っているところであります.以下のように、お楽しみ作品目白押しなのは久しぶりですよ.

クズの本懐
まだ第一話しかみてないけど、ノイタミア枠はまた挑戦的な作品を世に出しましたな.BPOへの配慮もありエロは第一話だけだろうと想像するのですがね.これは今期の第一級本命作品と位置づけられマス.
←いきなり濡れ場

小林さんちのメイドラゴン
主人公は女流SE. ドラゴンのメイドがそこへ転がり込んでくるという藤子不二雄設定.女子vs女子で異種族ハーレムとはずいぶんニッチな趣向である.いや~おもしろいじゃないかと第1話を観ながらEDテロップでなんとあの京アニであるコトを知ってびっくり.いつもの京アニのテイストじゃないんだもん.京アニの別働隊が制作しているんだろうか?
←女流SEってみんなこんななの?

ガブリールドロップアウト
琴浦→さばげぶ→うまる、と良作をリリースしつづける太田雅彦の作品ということで期待度高し.題名は堕天使ガブリエルそのもの.1,2話を観たところでは、まだクソビッチさが不足している気がするのでもっと墜ちるところまで墜ちてもらいましょう.
←バトーさんが飼ってる犬もガブでした

政宗くんのリベンジ
子供の頃にバカにされた恨みを晴らすべく、高校生になった政宗くんがその女をコマす様が描かれます.どーせしまいにゃ両思いになるんだろう.ラブコメで良くあるネタでありますが、最後まで楽しめそうです.
←キャラ設定は月並み

ハンドシェイカー
なんだあの第1話は、、、色が濃すぎて狂っている.パースが狂っている.回り込みのしすぎで狂っている.NPCが狂ったように動画になっている.鎖を出す女子が狂っている.... でもねぇ、なぜか憎めない作品.狂人には狂人なりのフェティシズムが在るように思う.あと、安っぽいBGMが好きなんだわ.
←闘うかイクかどっちかにしてください

セイレン
労せずハーレムを形成するという男子憧れのアマガミ系列作品.だが既にアマガミには劣る.脚本がゲームっぽいんだよなぁ.どうして合宿先で女子が窓から侵入してくるのだろう... 自分のジャージを女子に貸すとして、わたしなら一つ条件を課すだろう、「貸してもいいけど洗わずに返すこと」
←振り回される悦楽

風夏
「涼風」の原作者によるマガジン系列アニメ.第2話にしてすでに作画品質が劣化しているが、涼風って好きだったので、あのテイストが蘇るだけで反射的に嬉しくなってしまう.てっきり陸上競技ベースなのかと思っていたのだがそうではなく、バンドの物語らしい.
←こっちの女子が良い

幼女戦記
ヨーロッパ戦線での魔力戦隊の活躍というと、先日まで放映していた「終末のイゼッタ」を思い出します.「イゼッタ」は最後の魔女と弱小国という設定から鬱展開が予想されその通りでした.「幼女戦記」は鬱展開にならずにもっとドライに最悪な結末になりそうで楽しそうな気がしてます.
←微妙なキャラ設定
チェインクロニクル
バトルファンタジー作品は元来苦手なわたしです.しかし本作では戦闘の動きが軽快なのと、やたら複雑な髪型をした女子の作画がやけに手が込んでいます.制作スタジオはどこかなぁと思ったらテレコムでした.テレコムは宮崎駿と少し関係が在ったスタジオです.テレコムのアニメを観られる幸福を感じつつ見続けようよ.

リトルウィッチアカデミア
一目でわかるTRIGGER制作のアニメ.TRIGGERのアニメを観られる幸福を感じつつ見続けようよ.

AKIBA'S TRIP
よく知っている街の風景がアニメの背景として登場する幸福を感じつつ見続けようよ.OPで叫んでいるのはザブングルの歌手の人ですかね?
←サンボの前

亜人ちゃんは語りたい
亜人と書いて「デミ」と読むのだそうです.わたしはデミとは呼ばずにアジンを貫き通そうと決意するのですが、登場する亜人たちは亜人ではなくて吸血鬼などの既存の妖怪です.なんか残念.
←コスプレで淫魔は隠せなかろう

かしこ